Категории программ

Новые программы

Icarus Verilog

5 Жовтня, 2013 1

Icarus Verilog – компилятор языка описания аппаратуры Verilog. Он поддерживает версии 1995, 2001 и 2005, частично SystemVerilog и некоторые расширения. Используется для симуляции и верификации проектов. Кроме того, в версиях с 0.2 по 0.8 мог использоваться для синтеза (в формат XNF), для ПЛИС Xilinx.

Icarus Verilog доступен для OpenSolaris x86, FreeBSD, Linux, AIX, Microsoft Windows и Mac OS X. Выпускается под лицензией GNU General Public License.

Программа Icarus Verilog имеет возможность подключения модулей расширения симуляции и кодогенерации.

Симуляция выполняется виртуальной машиной. Результаты симуляции записываются в стандартном формате VCD (англ. Value Change Dump – дамп изменения значений), для просмотра результатов симуляции в виде графиков сигналов необходима соответствующая программа, например, GTKWave.

Состав пакета Icarus Verilog

Пакет Icarus Verilog состоит из следующих основных программ:

Собственно препроцессор и компилятор языка Verilog. Выполняет трансляцию исходного кода на Verilog в файл программы моделирования или в перечень связей (netlist) для дальнейшей обработки.

Виртуальная машина, которая выполняет программу моделирования, созданную компилятором iverilog.

Утилита для упрощения компиляции модулей VPI. Принимает на входе список файлов исходных текстов на языках C, C + + и объектных файлов, на выходе выдаёт собранный vpi-модуль.

Также в пакет входит набор программ конвертации форматов vcd2fst, vcd2lxt, vcd2lxt2, vcd2vzt, vzt2vcd, vztminer, lxt2miner и lxt2vcd.

Icarus Verilog

Ссылки

Сайт Icarus Verilog

Скачать Icarus Verilog

Компиляторы , Разработчику , Средства разработки

1 комментарий для “Icarus Verilog”

  1. Михаил :

    Спасибо!

Оставить комментарий